你的位置:首頁 > 測試測量 > 正文

如何設(shè)計多功能計數(shù)器,四大板塊設(shè)計幫你忙

發(fā)布時間:2014-12-14 責(zé)任編輯:sherryyu

【導(dǎo)讀】用計數(shù)法測出相位差,可實現(xiàn)對有效值0.5V~5V,頻率10Hz~100KHz 信號的相位差測量。系統(tǒng)功能由按鍵控制,可對測量結(jié)果實時顯示,人機(jī)交互界面友好,達(dá)到了較好的性能指標(biāo)。那么多功能計數(shù)器系統(tǒng)如何設(shè)計呢?都有哪些主要板塊設(shè)計呢?
 
以單片機(jī)和 FPGA構(gòu)成的最小系統(tǒng)為控制核心,由寬帶放大模塊,比較整形模塊,頻率、相位差測量模塊等模塊構(gòu)成。在FPGA內(nèi)采用等精度測頻法測出頻率和周期,可實現(xiàn)對有效值為0.005V~5V,頻率范圍1Hz~35MHz 信號的頻率、周期的測量。用計數(shù)法測出相位差,可實現(xiàn)對有效值0.5V~5V,頻率10Hz~100KHz 信號的相位差測量。系統(tǒng)功能由按鍵控制,可對測量結(jié)果實時顯示,人機(jī)交互界面友好,達(dá)到了較好的性能指標(biāo)。
  
峰值檢波模塊
峰值檢波模塊
在進(jìn)入模擬開關(guān)之前,需要進(jìn)行峰值檢波,通過測得的信號的幅值確定模擬開關(guān)的通道。峰值檢波電路是由二極管電路和電壓跟隨器組成,其工作原理為:當(dāng)輸入電壓正半周通過時,檢波管D2導(dǎo)通,對電容C1充電,直到到達(dá)其峰值。三極管的基極由單片機(jī)控制,產(chǎn)生10us 的高電平使電容放電,以減少前一頻率測量對后一頻率測量的影響,提高幅值測量精度。其中D1處于常導(dǎo)通狀態(tài),用以補(bǔ)償D2 上造成的壓降。電容C1 的取值需根據(jù)被測信號的頻率合適的選取,此電路中的二極管使用高頻二極管,可大大提高測量范圍的頻率上限。其電路圖如圖2.2 所示。
  
寬帶通道放大器分析
寬帶通道放大器分析
為了檢測有效值0.005V~ 5V的信號(即Vp_p 為0.014V~14V)的頻率,而高頻比較器TL3116能檢測到的輸入信號的最小幅度Vp_p=0.8V,因此需要對信號進(jìn)行程控放大。當(dāng)測得的信號峰峰值Vp_p小于0.1V 時,設(shè)定放大倍數(shù)為120,當(dāng)0.1V~1V 時設(shè)定放大倍數(shù)為10,當(dāng)Vp_p大于1V時,設(shè)定放大倍數(shù)為1。以MAX309為模擬開關(guān),用OPA637接成一級同相放大器進(jìn)行10倍增益放大。用兩級OPA637級聯(lián)進(jìn)行120倍放大。其原理圖如圖上。
[page]
比較整形電路
  
在測頻、測周部分,由于我們沒有帶寬由1Hz 到35MHz 的比較器,所以采用分段處理的方法實現(xiàn)整個頻帶的測量,高頻部分用TI公司的TL3116實現(xiàn),低頻部分采用LM311。為抑制干擾引起的誤翻轉(zhuǎn),我們采取了帶正反饋的滯回比較電路的形式。
比較整形電路
在反相輸入方式時,其正向閾值電壓,對應(yīng)比較后信號的下降沿。負(fù)向閾值電平為0V,對應(yīng)于比較后信號的上升沿。故輸出信號的上升沿仍屬過零比較。測相的兩路信號經(jīng)過整形,要使產(chǎn)生的額外相差最小,必須保證兩路通道參數(shù)的一致性,選用TI 公司的雙路比較器TLC372。
 
FPGA 模塊
FPGA 模塊
本系統(tǒng)采用數(shù)字方法在FPGA 內(nèi)部進(jìn)行頻率和相位差的測量。其電路圖如圖2.6 所示。其中fx_h 和fx_l 分別為高頻信號和低頻信號輸入端。CH1 和CH2分別為兩路相位信號如數(shù)端。
要采購開關(guān)么,點這里了解一下價格!
特別推薦
技術(shù)文章更多>>
技術(shù)白皮書下載更多>>
熱門搜索
?

關(guān)閉

?

關(guān)閉