你的位置:首頁 > 測試測量 > 正文

巔峰對決:三大頂流半導(dǎo)體廠商高端工藝逐鹿,你更看好誰?

發(fā)布時間:2022-12-21 來源:Mouser 責(zé)任編輯:wenwei

【導(dǎo)讀】集成電路的成功和普及在很大程度上取決于IC制造商是否有能力繼續(xù)以相對低的功耗提供更高的性能。隨著主流CMOS工藝達(dá)到理論、實踐和經(jīng)濟(jì)極限,降低IC成本不可避免地與不斷增長的技術(shù)和晶圓廠制造規(guī)程緊密相連。


6.jpg

圖源:H_Ko/AdobeStock


在代工行業(yè),采用先進(jìn)的工藝節(jié)點更能帶來明顯的成本競爭優(yōu)勢。2020年,臺積電(TSMC)是業(yè)界唯一同時使用7nm和5nm工藝節(jié)點用于IC制造的企業(yè),此舉也使得TSMC每片晶圓的總收入大幅增加,達(dá)到1634美元。這一數(shù)字比GlobalFoundries高66%,是UMC和中芯國際的兩倍多。據(jù)稱,當(dāng)年曾有16家年收入超過10億美元的頂級無晶圓IC供應(yīng)商排隊等候使用這些最先進(jìn)的工藝制造其最新的設(shè)計。除了代工和邏輯IC制造,三星(Samsung)、美光(Micron)、SK海力士(SKHynix)和Kixia等存儲器供應(yīng)商也將先進(jìn)的工藝用于DRAM和閃存器件的制造,以實現(xiàn)芯片成本和性能的優(yōu)化。


然而,縱觀整個行業(yè)近些年的發(fā)展,無論器件類型如何,在巨大的研發(fā)投入面前,集成電路行業(yè)內(nèi)目前只有極少數(shù)公司有能力持續(xù)開發(fā)前沿工藝技術(shù)。


半導(dǎo)體技術(shù)節(jié)點的演進(jìn)史


65nm、28nm、10nm、7nm……,這些有關(guān)半導(dǎo)體技術(shù)(工藝)節(jié)點的名詞正在成為耳熟能詳?shù)男袠I(yè)熱詞,而每一個名詞的背后都代表著半導(dǎo)體晶圓廠數(shù)十億甚至數(shù)百億美元的投資。傳統(tǒng)上,技術(shù)節(jié)點常常用來表征晶體管的柵極長度。今天,這些技術(shù)節(jié)點基本上演變成一個營銷名稱。


回顧過去20多年的發(fā)展歷程,半導(dǎo)體技術(shù)節(jié)點的路線圖走過了這樣一個過程:


發(fā)展史


●   1997年,大多數(shù)領(lǐng)先的半導(dǎo)體企業(yè)引入了250nm工藝節(jié)點;


●   1999年,英特爾(Intel)、德州儀器(TI)、IBM和TSMC推出180nm工藝節(jié)點;


●   2001年,僅僅兩年后,這幾家公司又推出了130nm節(jié)點;


●   2004年,AMD、英飛凌(Infineon)、TI、IBM和TSMC推出90nm工藝節(jié)點;


●   2006年,Intel、AMD、IBM、UMC、Chartered Semiconductor(特許半導(dǎo)體)和TSMC推出了65nm技術(shù)節(jié)點;


●   2008年,松下、Intel、AMD、IBM、英飛凌、三星、中芯國際和Chartered Semiconductor推出了45nm工藝節(jié)點;


●   2014年,Intel向消費者交付了首批14nm級設(shè)備;


●   2017年,三星首次發(fā)布了其10nm工藝節(jié)點版本;同年,TSMC宣布從2018年開始生產(chǎn)7nm技術(shù)節(jié)點產(chǎn)品。


7.jpg

圖1:全球半導(dǎo)體技術(shù)節(jié)點演進(jìn)路線圖

(圖源:anysilicon)


英特爾:重命名技術(shù)節(jié)點,開啟全新A時代


在英特爾2022年投資者會議上,首席執(zhí)行官帕特·基辛格在演講中宣布了公司主要業(yè)務(wù)部門的產(chǎn)品路線圖和關(guān)鍵執(zhí)行里程碑,其中也包括英特爾的代工服務(wù)。


在新工藝方面,英特爾公布的路線圖將延伸至2025年,同時勾勒出該公司未來工藝節(jié)點的年度目標(biāo),從標(biāo)準(zhǔn)納米級技術(shù)到難以置信的A級(angstrom-class)晶體管。英特爾還透露了A級技術(shù)的第一個細(xì)節(jié),比如RibbonFET——自FinFET問世十年來的又一個新的晶體管設(shè)計,以及PowerVia——一種將晶體管夾在布線層之間的新型背面電源傳輸技術(shù)。


此外,英特爾還再次更改了其工藝節(jié)點的命名方案,新的命名方式將與TSMC等外部代工企業(yè)使用的命名相匹配。英特爾的10nm增強型SuperFin現(xiàn)在將改名為“Intel7”,傳統(tǒng)的公司名 + “nm”后綴這種技術(shù)節(jié)點命名方式將不再使用。為此,英特爾后續(xù)所有的技術(shù)節(jié)點名稱也將調(diào)整,英特爾的7nm將變?yōu)椤癐ntel4”,依此類推。


其實,英特爾重新命名其工藝節(jié)點的決定頗有些無奈。英特爾在10nm節(jié)點上的失誤導(dǎo)致了一系列的修改和隨后三個節(jié)點的延遲,同時也失去了在行業(yè)內(nèi)的工藝領(lǐng)導(dǎo)權(quán)。盡管在3nm工藝節(jié)點上TSMC的確領(lǐng)先英特爾,但英特爾的節(jié)點命名方案在一定程度上錯誤地放大了和TSMC的差距。目前,從基于晶體管密度角度來看,英特爾的10nm更類似于臺積電的7nm,而英特爾的7nm與臺積電5nm相當(dāng),因此做出命名調(diào)整還是有意義的。


隨著第12代Intel Core處理器的推出,表明Intel 7已正式量產(chǎn)。研發(fā)中的Intel4采用了極紫外(EUV)光刻技術(shù),預(yù)計于2022年下半年投入生產(chǎn),采用該工藝后,每瓦晶體管性能將提高約20%。后續(xù)的Intel 3使得每瓦性能進(jìn)一步提高18%,預(yù)計于2023年下半年投入生產(chǎn)。憑借RibbonFET和PowerVia,Intel 20A有望實現(xiàn)每瓦性能提高15%,基于英特爾公布的路線圖,預(yù)計將在2024年上半年投入生產(chǎn)準(zhǔn)備。Intel 18A則再次將每瓦性能提高10%,預(yù)計2024年下半年投入生產(chǎn)。


依據(jù)公司制定的戰(zhàn)略目標(biāo),到2025年,英特爾有望恢復(fù)晶體管每瓦性能的行業(yè)領(lǐng)先地位。由于英特爾對其高NA EUV、RibbonFET、PowerVia、Foveros Omni和Direct等技術(shù)報有很高的期待,因此其領(lǐng)導(dǎo)者認(rèn)為,半導(dǎo)體技術(shù)創(chuàng)新沒有盡頭,摩爾定律也沒有盡頭。


8.jpg

圖2:英特爾全新的技術(shù)節(jié)點發(fā)展路線圖

(圖源:Intel)


三星:完善GAA芯片技術(shù),2027年計劃推出1.4nm芯片


三星是最大的內(nèi)存芯片制造商,該公司還經(jīng)營代工業(yè)務(wù),為其他公司制造半導(dǎo)體芯片。今年早些時候,三星首開行業(yè)先河開始生產(chǎn)3nm芯片(3GAE)。該公司在解決方案中采用了Gate All- Around(GAA)晶體管架構(gòu),新的架構(gòu)比FinFET架構(gòu)帶來了性能和功耗方面的改進(jìn),芯片尺寸更小。


在繼續(xù)提高3nm GAA解決方案的性能和功率效率的同時,三星還計劃在2024年推出第二代3nm芯片(3GAP),并在2025年推出Plus迭代(3GAP+)。根據(jù)三星自己釋放的信息,其第二代解決方案比第一代解決方案的芯片尺寸小20%,功耗也更低。


3nm工藝技術(shù)引入量產(chǎn)后,三星的下一步驟是:進(jìn)一步增強基于GAA的技術(shù),計劃在2025年引入2nm工藝,2027年引入1.4nm工藝。屆時,其先進(jìn)半導(dǎo)體的生產(chǎn)能力將比今年增長三倍以上,但三星并沒有透露這些先進(jìn)的解決方案將帶來哪些性能上的改進(jìn)。


9.png

圖3:三星用于其3nm技術(shù)節(jié)點的GAAFET,與5nm FinFET工藝相比,可將功耗降低45%,性能提高23%(圖源:Samsung)


關(guān)于GAA芯片技術(shù),為方便大家理解,在這里我們稍作解釋。當(dāng)半導(dǎo)體工藝節(jié)點不斷微縮,尤其是5nm之后,相繼出現(xiàn)了3nm、2nm、1.4nm、1nm。然而,新的問題同時出現(xiàn),原來的3D FinFET晶體管將無法應(yīng)對極限微觀世界的技術(shù)要求,因鰭片距離太近,漏電流變大,物理材料的極限讓3D FinFET晶體管的結(jié)構(gòu)很難形成。這時,我們開始頻繁地聽到GAA這個新名詞。


GAA全稱Gate-All-Around,是一種環(huán)繞式柵極技術(shù)晶體管,也叫做GAAFET。它的概念由比利時的研究人員于1990年在公開發(fā)表的文章中首次提出。GAAFET相當(dāng)于3D FinFET的改良版,這項技術(shù)下的晶體管結(jié)構(gòu)又一次發(fā)生改變,柵極和漏極不再是鰭片的樣子,而是變成了一根根的“小棍子”,垂直穿過柵極,這樣,柵極就能實現(xiàn)對源極、漏極的四面包裹,解決了原來因鰭片間距過小帶來的問題。


臺積電:目標(biāo)明確,2024年N3E,2026年N2


臺積電(TSMC)是全球第一大半導(dǎo)體代工企業(yè),于今年9月份開始批量生產(chǎn)3nm芯片(N3工藝),這是在三星首次開始大規(guī)模生產(chǎn)3nm芯片三個月后發(fā)生的。預(yù)計兩家企業(yè)將會在快速提高3nm工藝產(chǎn)量上展開激烈競爭,以確保贏得高通(Qualcomm)和英偉達(dá)(Nvidia)這樣的大客戶訂單。


從多家媒體報道的信息看,蘋果公司是臺積電使用N3工藝進(jìn)行大規(guī)模量產(chǎn)的第一個客戶,蘋果MacBook Pro的M2 Pro芯片是第一款使用該工藝制造的產(chǎn)品。除了M2 Pro芯片外,iPhone 15 Pro系列的A17仿生芯片,以及用于MacBook Pro的下一代M3芯片,均會使用臺積電的N3工藝。臺積電的N3將帶來比N5更高的全節(jié)點性能,包括10%-15%的性能,25%-30%的功耗,以及高達(dá)1.7倍的邏輯晶體管密度。為此,它使用了14個以上的極紫外(EUV)光刻層(N5使用最多14個),并將為深紫外(DUV)光刻層引入某些新的設(shè)計規(guī)則。雖然臺積電的N3工藝技術(shù)設(shè)計用于高性能計算(如CPU、GPU、FPGA、ASIC等)和智能手機,但該節(jié)點的工藝窗口比較窄,難以達(dá)到芯片開發(fā)人員預(yù)期的規(guī)格。為了解決這一問題,臺積電又開發(fā)了N3E版本的技術(shù),拓寬了工藝窗口。


在3nm工藝之前,臺積電的技術(shù)一直領(lǐng)先于三星。但在3nm工藝方面,三星電子憑借GAA結(jié)構(gòu)實現(xiàn)了反超。三星表示,與5nm鰭狀場效應(yīng)晶體管(FinFET)工藝相比,GAA技術(shù)可以將功耗降低45%,性能提高23%。而臺積電的3nm工藝基于FinFET工藝,與5nm工藝相比,預(yù)計速度提高約10%至15%,功耗降低30%。


當(dāng)然,市場優(yōu)勢的體現(xiàn)是多方面的,技術(shù)只是其中之一,關(guān)鍵還要看量產(chǎn)能否達(dá)到商業(yè)要求。因此,在3nm代工業(yè)務(wù)中,誰是贏家取決于誰首先達(dá)到了預(yù)期的量產(chǎn)良率。根據(jù)臺積電的計劃,公司將繼續(xù)升級3nm工藝,并在2023年年中之后全面啟動第二代3nm工藝(N3E)。三星電子計劃在2024年推出第二代GAA 3nm工藝。無論如何,在3nm工藝節(jié)點上,英特爾很顯然已經(jīng)落后于三星和臺積電這兩家企業(yè)了。


1669193930438894.png

圖4:臺積電近年新節(jié)點發(fā)展情況

(圖源:AnandTech)


有關(guān)未來的研發(fā)計劃,臺積電在其官網(wǎng)上有公開的表態(tài),大意是這樣的:為了保持和加強臺積電的技術(shù)領(lǐng)先地位,公司計劃繼續(xù)加大研發(fā)投入。對于先進(jìn)的CMOS邏輯,公司的3nm和2nm CMOS節(jié)點仍在開發(fā)中。此外,公司的探索性研發(fā)工作重點將放在超過2nm的節(jié)點以及3D晶體管、新存儲器和低R互連等領(lǐng)域。


技術(shù)進(jìn)步及行業(yè)展望


SEMI在其最新發(fā)布的《2025年300mm晶圓廠展望》報告中宣布,全球300mm晶圓廠的產(chǎn)能在2025年將達(dá)到新高。預(yù)計全球半導(dǎo)體制造商將在2022年至2025年間以近10%的復(fù)合平均增長率(CAGR)擴(kuò)大300mm晶圓廠產(chǎn)能,達(dá)到每月920萬片的歷史新高。其中,中國300mm前端晶圓廠產(chǎn)能的全球份額將從2021年的19%增加到2025年的23%,達(dá)到230萬片。屆時,中國的300mm晶圓廠產(chǎn)能將接近全球領(lǐng)先的韓國。


IC Insights表示,到2022年底,半導(dǎo)體行業(yè)的資本支出增長將達(dá)到21%。這一增長率雖低于去年的35%,也低于今年早些時候24%的預(yù)測,但需要注意的是,半導(dǎo)體行業(yè)資本支出已連續(xù)第三年以兩位數(shù)的百分比持續(xù)增長,上一次出現(xiàn)這種情況要追溯到1993年至1995年。


11.png

圖5:全球半導(dǎo)體行業(yè)的資本支出情況分析

(圖源:IC Insights)


一方面是市場上晶圓產(chǎn)能需求的不斷增加,另一方面是資本支出的逐漸萎縮,為什么會出現(xiàn)這樣的矛盾呢?早些時候,IC Insights的分析師曾預(yù)計今年半導(dǎo)體行業(yè)的資本支出將增至1,904億美元,但困難的宏觀經(jīng)濟(jì)條件和一些細(xì)分市場出現(xiàn)的產(chǎn)能過剩危機,能夠增長21%實屬不易。在半導(dǎo)體行業(yè)大干快上的當(dāng)下,資本支出減少這一趨勢值得市場參與者們仔細(xì)評估。


在代工市場,可以預(yù)見的是,臺積電將在相當(dāng)長一段時間內(nèi)領(lǐng)先于競爭對手。去年3月英特爾提出了“IDM2.0”戰(zhàn)略,期望通過對外代工服務(wù)盤活企業(yè)的資產(chǎn)。目前,英特爾的代工業(yè)務(wù)Intel Foundry Services(IFS)也公司是營收增長最快的業(yè)務(wù)。不過,英特爾的首席財務(wù)官曾公開表態(tài)證實,英特爾的10nm工藝節(jié)點不如22nm那么賺錢。三星的代工業(yè)務(wù)傳統(tǒng)上在產(chǎn)能方面要落后于臺積電,因為他們更傾向于優(yōu)先考慮母公司和戰(zhàn)略客戶的訂單。當(dāng)然也不能說臺積電就是高枕無憂了,未來仍充滿著挑戰(zhàn),他們的工程師們必須在N3及以后的技術(shù)節(jié)點上上保持著持續(xù)的技術(shù)領(lǐng)導(dǎo)力。


在技術(shù)節(jié)點上,盡管使用臺積電N3工藝的芯片最快可能需要等到2023年第一季度,但N3工藝量產(chǎn)很可能就在2022年第四季度實現(xiàn)。雖然三星在3nm技術(shù)節(jié)點上開始使用GAA結(jié)構(gòu)晶體管,但事實上三星也未能按照計劃提前完成。根據(jù)三星目前的公開數(shù)據(jù),其最早的3nm工藝可能在技術(shù)層面仍面臨很多不確定性。至于Intel 3,它的量產(chǎn)基本趕不上2022年這班車了。也許我們可以寄望于2024年上半年的Intel 20A以及2025年下半年的Intel 18A的進(jìn)程,也希望英特爾能夠借此恢復(fù)其技術(shù)領(lǐng)先地位。


來源:Mouser



免責(zé)聲明:本文為轉(zhuǎn)載文章,轉(zhuǎn)載此文目的在于傳遞更多信息,版權(quán)歸原作者所有。本文所用視頻、圖片、文字如涉及作品版權(quán)問題,請聯(lián)系小編進(jìn)行處理。


推薦閱讀:


什么是DC-DC轉(zhuǎn)換器的熱仿真

LED照明:新趨勢下需要什么樣的新元件?

宇宙輻射對OBC/DCDC中高壓SiC/Si器件的影響及評估

先進(jìn)光學(xué)數(shù)字線束 (ODH) 將使新型多元素微波天線成為現(xiàn)實

800V系統(tǒng)將重構(gòu)電動汽車產(chǎn)業(yè)鏈,這些技術(shù)和產(chǎn)品最受益

特別推薦
技術(shù)文章更多>>
技術(shù)白皮書下載更多>>
熱門搜索
?

關(guān)閉

?

關(guān)閉