你的位置:首頁 > 測試測量 > 正文

高速數(shù)字電路的設(shè)計(jì),借助仿真增加了信號的完整性

發(fā)布時(shí)間:2017-11-14 責(zé)任編輯:lina

【導(dǎo)讀】高速數(shù)字系統(tǒng)設(shè)計(jì)成功的關(guān)鍵在于保持信號的完整,而影響信號完整性(即信號質(zhì)量)的因素主要有傳輸線的長度、電阻匹配及電磁干擾、串?dāng)_等。



設(shè)計(jì)過程中要保持信號的完整性必須借助一些仿真工具,仿真結(jié)果對PCB布線產(chǎn)生指導(dǎo)性意見,布線完成后再提取網(wǎng)絡(luò),對信號進(jìn)行布線后仿真,仿真沒有問題后才能送出加工。目前這樣的仿真工具主要有cadence、ICX、Hyperlynx等。Hyperlynx是個(gè)簡單好用的工具,軟件中包含兩個(gè)工具LineSim和BoardSim。LineSim用在布線設(shè)計(jì)前約束布線和各層的參數(shù)、設(shè)置時(shí)鐘的布線拓?fù)浣Y(jié)構(gòu)、選擇元器件的速率、診斷信號完整性,并盡量避免電磁輻射及串?dāng)_等問題。BoardSim用于布線以后快速地分析設(shè)計(jì)中的信號完整性、電磁兼容性和串?dāng)_問題,生成串?dāng)_強(qiáng)度報(bào)告,區(qū)分并解決串?dāng)_問題。作者使用LineSim工具,對信號的阻抗匹配、傳輸線的長度、串?dāng)_進(jìn)行了仿真分析,并給出了指導(dǎo)性結(jié)論。

 

阻抗匹配

    高速數(shù)字信號的阻抗匹配非常關(guān)鍵,如果匹配不好,信號會產(chǎn)生較大的上沖和下沖現(xiàn)象,如果幅度超過了數(shù)字信號的閾值,就會產(chǎn)生誤碼。阻抗匹配有串行端接和并行端接兩種,由于串行端接功耗低并且端接方便,實(shí)際工作中一般采用串行端接。以下利用Hyperlynx仿真工具對端接電阻的影響進(jìn)行了分析。以74系列建立仿真IBIS模型如圖1所示。仿真時(shí)選擇一個(gè)發(fā)送端一個(gè)接收端,傳輸線為帶狀線,設(shè)置線寬0.2mm和介電常數(shù)為4.5(常用的FR4材料),使傳輸線的阻抗為51.7Ω。設(shè)置信號頻率為50MHz的方波,串行端接電阻Rs分別取0Ω、33Ω和100Ω的情況,進(jìn)行仿真分析,仿真結(jié)果如圖2所示。

    圖中分別標(biāo)出了匹配電阻是0Ω、33Ω、100Ω時(shí)接收端的信號波形。從波形看出,0Ω時(shí)波形有很大的上沖和下沖現(xiàn)象,信號最差;100Ω時(shí)信號衰減較大,方波幾乎變成了正弦波;而匹配電阻是33Ω時(shí)波形較好。理想的匹配電阻值,可以利用軟件的terminatorWizard工具,自動根據(jù)器件的參數(shù)模型算出最佳匹配電阻為33.6Ω,實(shí)際應(yīng)用中可以選用33Ω。利用仿真和器件的IBIS模型,可以很精確地知道匹配電阻值的大小,從而使信號完整性具有可控性。

 

 

圖1 74系列仿真模型


圖2 不同串行端接電阻的仿真結(jié)果 

傳輸線長度的影響

    在高速數(shù)字電路的設(shè)計(jì)中,除了阻抗匹配外,部分器件對傳輸線的長度有著嚴(yán)格的要求,信號頻率越高,要求傳輸線的長度越短。以X1器件和X2器件為例建立仿真模型如圖3所示。在仿真模型中加了33Ω的匹配電阻,選擇仿真信號頻率為66MHz方波,改變傳輸線長度分別為76.2mm和254mm時(shí)進(jìn)行仿真。仿真結(jié)果如圖4所示。

 

圖3 X1、X2器件仿真模型

圖4 不同長度傳輸線仿真結(jié)果  

    從圖中看出,信號線加長后,由于傳輸線的等效電阻、電感和電容增大,傳輸線效應(yīng)明顯加強(qiáng),波形出現(xiàn)振蕩現(xiàn)象。因此在高頻PCB布線時(shí)除了要接匹配電阻外,還應(yīng)盡量縮短傳輸線的長度,保持信號完整性。

 

    在實(shí)際的PCB布線時(shí),如果由于產(chǎn)品結(jié)構(gòu)的需要,不能縮短信號線長度時(shí),應(yīng)采用差分信號傳輸。差分信號有很強(qiáng)的抗共模干擾能力,能大大延長傳輸距離。差分信號有很多種,如ECL、PECL、LVDS等,表1列出LVDS相對于ECL、PECL系統(tǒng)的主要特點(diǎn)。LVDS的恒流源模式低擺幅輸出使得LVDS能高速驅(qū)動,對于點(diǎn)到的連接,傳輸速率可達(dá)800Mbps,同時(shí)LVDS低噪聲、低功耗,連接方便,實(shí)際中使用較多。LVDS的驅(qū)動器由一個(gè)通常為3.5mA的恒流源驅(qū)動對差分信號線組成。接收端有一個(gè)高的直流輸入阻抗,幾科全部的驅(qū)動電流流經(jīng)10Ω的終端電阻,在接收器輸入端產(chǎn)生約350mV電壓。當(dāng)驅(qū)動狀態(tài)反轉(zhuǎn)時(shí),流經(jīng)電阻的電流方向改變,此時(shí)在接收端產(chǎn)生有效的邏輯狀態(tài)。圖5是利用LVDS芯片DS90LV031、DS90LV032把信號轉(zhuǎn)換成差分信號,進(jìn)行長距離傳輸?shù)牟ㄐ螆D。在仿真時(shí)設(shè)置仿真頻率為66MHz理想方波,傳輸距離為508mm,差分對終端接100Ω負(fù)載匹配傳輸線的差分阻抗。從仿真結(jié)果看,LVDS接收端的波形除了有延遲外,波形保持完好。

 

表1 LVDS、ECL、PECL邏輯標(biāo)準(zhǔn)對照表

 

圖5 LVDS電路仿真結(jié)果

串?dāng)_分析

    由于頻率的提高,傳輸線之間的串?dāng)_明顯增大,對信號完整性也有很大的影響,可以通過仿真來預(yù)測、模擬,并采取措施加以改善。以CMOS信號為例建立仿真模型,如圖6所示。在仿真時(shí)設(shè)置干擾信號的頻率為66MHz的方波,被干擾者設(shè)置為零電平輸入,通過調(diào)整兩根線的間距和兩線之間平行走線的長度來觀察被干擾者接收端的波形。仿真結(jié)果如圖7,分別為間距是203.2mm、406。4mm時(shí)的波形。

圖6 串?dāng)_模型

 

圖7 不同間距的串?dāng)_仿真結(jié)果

 

    從仿真結(jié)果看出,兩線間距為406.4mm時(shí),串?dāng)_電平為200mV左右,203.2mm時(shí)為500mV左右??梢妰删€之間的間距越小串?dāng)_越大,所以在實(shí)際高速PCB布線時(shí)應(yīng)盡量拉大傳輸線間距或在兩線之間加地線來隔離。

 

結(jié)束語

    在高速數(shù)字電路設(shè)計(jì)中,不用仿真而只憑傳統(tǒng)的設(shè)計(jì)方法或經(jīng)驗(yàn)很難預(yù)測和保證信號完整性,仿真已成為高速信號設(shè)計(jì)的必要手段,利用仿真可以預(yù)測信號的傳輸情況,從而提高系統(tǒng)的可靠性。




推薦閱讀:

一文看懂DDS原理、混疊、幅度調(diào)制
鎖相環(huán)環(huán)路濾波器設(shè)計(jì) 
20A LED 驅(qū)動器提供準(zhǔn)確度為 ±3% 的滿標(biāo)度電流檢測以適合多種應(yīng)用  
基于加速度傳感器的計(jì)步器設(shè)計(jì)與實(shí)現(xiàn) 


特別推薦
技術(shù)文章更多>>
技術(shù)白皮書下載更多>>
熱門搜索
?

關(guān)閉

?

關(guān)閉