你的位置:首頁(yè) > 光電顯示 > 正文

10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)

發(fā)布時(shí)間:2018-06-01 責(zé)任編輯:wenwei

【導(dǎo)讀】可編程的“萬(wàn)能芯片” FPGA——現(xiàn)場(chǎng)可編程門陣列,是指一切通過(guò)軟件手段更改、配置器件內(nèi)部連接結(jié)構(gòu)和邏輯單元,完成既定設(shè)計(jì)功能的數(shù)字集成電路。
 
一、FPGA簡(jiǎn)介
 
FPGA(Field Programmable Gate Array)于1985年由xilinx創(chuàng)始人之一Ross Freeman發(fā)明,雖然有其他公司宣稱自己最先發(fā)明可編程邏輯器件PLD,但是真正意義上的第一顆FPGA芯片XC2064為xilinx所發(fā)明,這個(gè)時(shí)間差不多比摩爾老先生提出著名的摩爾定律晚20年左右,但是FPGA一經(jīng)發(fā)明,后續(xù)的發(fā)展速度之快,超出大多數(shù)人的想象,近些年的FPGA,始終引領(lǐng)先進(jìn)的工藝。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
FPGA—“萬(wàn)能芯片”
 
可編程的“萬(wàn)能芯片” FPGA——現(xiàn)場(chǎng)可編程門陣列,是指一切通過(guò)軟件手段更改、配置器件內(nèi)部連接結(jié)構(gòu)和邏輯單元,完成既定設(shè)計(jì)功能的數(shù)字集成電路。
 
FPGA可以實(shí)現(xiàn)怎樣的能力,主要取決于它所提供的門電路的規(guī)模。如果門電路的規(guī)模足夠大,F(xiàn)PGA通過(guò)編程可以實(shí)現(xiàn)任意芯片的邏輯功能,例如ASIC、DSP甚至PC處理器等。這就是FPGA為什么被稱之為“萬(wàn)能芯片”的原因。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
FPGA 內(nèi)部結(jié)構(gòu)
 
FPGA可隨意定制內(nèi)部邏輯的陣列,并且可以在用戶現(xiàn)場(chǎng)進(jìn)行即時(shí)編程,以修改內(nèi)部的硬件邏輯,從而實(shí)現(xiàn)任意邏輯功能。這一點(diǎn)是ASIC和和DSP都無(wú)法做到的。形象點(diǎn)來(lái)說(shuō),傳統(tǒng)的ASIC和DSP等于一張出廠時(shí)就寫有數(shù)據(jù)且不可擦除的CD,用戶只需要放到CD播放器就可以看到起數(shù)據(jù)或聽(tīng)到音樂(lè);而FPGA是一張出廠時(shí)的空白的CD,需要用戶自己使用刻錄機(jī)燒寫數(shù)據(jù)內(nèi)容到盤里,并且還可以擦除上面的數(shù)據(jù),反復(fù)刻錄。
 
可編程靈活性高、開(kāi)發(fā)周期短、并行計(jì)算效率高
 
FPGA的核心優(yōu)點(diǎn):可編程靈活性高、開(kāi)發(fā)周期短、并行計(jì)算可編程靈活性高。
 
與ASIC的全定制電路不同,F(xiàn)PGA屬于半定制電路。理論上,如果FPGA提供的門電路規(guī)模足夠大,通過(guò)編程可以實(shí)現(xiàn)任意ASIC和DSP的邏輯功能。另外,編程可以反復(fù),不像ASIC設(shè)計(jì)后固化不能修改。所以,F(xiàn)PGA的靈活性也較高。實(shí)際應(yīng)用中,F(xiàn)PGA的現(xiàn)場(chǎng)可重復(fù)編程性使開(kāi)發(fā)人員能夠用軟件升級(jí)包通過(guò)在片上運(yùn)行程序來(lái)修改芯片,而不是替換和設(shè)計(jì)芯片(設(shè)計(jì)和)時(shí)間成本巨大),甚至FPGA可通過(guò)因特網(wǎng)進(jìn)行遠(yuǎn)程升級(jí)。
 
開(kāi)發(fā)周期短。ASIC制造流程包括邏輯實(shí)現(xiàn)、布線處理和流片等多個(gè)步驟,而FPGA無(wú)需布線、掩模和定制流片等,芯片開(kāi)發(fā)流程簡(jiǎn)化。傳統(tǒng)的ASIC和SoC設(shè)計(jì)周期平均是14個(gè)月到24個(gè)月,用FPGA進(jìn)行開(kāi)發(fā)時(shí)間可以平均降低55%。全球FPGA第一大廠商Xilinx認(rèn)為,更快比更便宜重要,產(chǎn)品晚上市六個(gè)月5年內(nèi)將少33%的利潤(rùn),每晚四周等于損失14%的市場(chǎng)份額。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
FPGA比ASIC的設(shè)計(jì)流程大幅減小
 
并行計(jì)算效率高。FPGA屬于并行計(jì)算,一次可執(zhí)行多個(gè)指令的算法,而傳統(tǒng)的ASIC、DSP甚至CPU都是串行計(jì)算,一次只能處理一個(gè)指令集,如果ASIC和CPU需要提速,更多的方法是增加頻率,所以ASIC、CPU的主頻一般較高。FPGA雖然普遍主頻較低,但對(duì)部分特殊的任務(wù),大量相對(duì)低速并行的單元比起少量高效單元而言效率更高。另外,從某種角度上說(shuō),F(xiàn)PGA內(nèi)部其實(shí)并沒(méi)有所謂的“計(jì)算”,最終結(jié)果幾乎是類似于ASIC“電路直給”,因此執(zhí)行效率就大幅提高。
 
FPGA限制因素:成本、功耗和編程設(shè)計(jì)
 
未來(lái),如果FPGA價(jià)格到低一定程度,將替代大多數(shù)的ASIC芯片。但是,目前制約FPGA發(fā)展的三大因素主要有:成本、功耗和編程設(shè)計(jì)。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
ASIC 與 FPGA 對(duì)比
 
成本。如果ASIC流片量大,實(shí)現(xiàn)同樣邏輯的FPGA成本將是ASIC的10倍以上。按照上面的初步測(cè)算,以5萬(wàn)片流片為零界點(diǎn),低于5萬(wàn)片的小批量多批次的專用控制設(shè)別(如雷達(dá)、航天飛機(jī)、汽車電子、路由器,這些高價(jià)值、批量相對(duì)較小、多通道計(jì)算的專用設(shè)備)采用FPGA更加經(jīng)濟(jì)劃算。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
FPGA和ASIC的成本粗算
 
功耗。FPGA中的芯片的面積比ASIC更大,這是因?yàn)镕PGA廠商并不知道下游的具體需求應(yīng)用,故在芯片中裝入規(guī)模巨大的門電路(其實(shí)很多沒(méi)有使用到),行業(yè)深度報(bào)告:FPGA—大數(shù)據(jù)和物聯(lián)網(wǎng)時(shí)代大有可為國(guó)防、汽車等,這些領(lǐng)域?qū)Φ凸囊蟛桓摺?/div>
 
編程設(shè)計(jì)。FPGA的發(fā)展中,軟件將占據(jù)60%的重要程度。例如Xilinx公司60%~70%的研發(fā)人員從事軟件工作。除了考慮芯片架構(gòu),編程設(shè)計(jì)時(shí)還要考慮應(yīng)用場(chǎng)景多樣性、復(fù)雜性和效率。FPGA編程需要采用的專用工具進(jìn)行HDL編譯,再燒錄至FPGA中,其技術(shù)門檻非常高。
 
二、國(guó)內(nèi)外FPGA游戲廠商收錄
 
FPGA市場(chǎng)前景誘人,但是門檻之高在芯片行業(yè)里無(wú)出其右。全球有60多家公司先后斥資數(shù)十億美元,前赴后繼地嘗試登頂FPGA高地,其中不乏英特爾、IBM、德州儀器、摩托羅拉、飛利浦、東芝、三星這樣的行業(yè)巨鱷,但是最終登頂成功的只有位于美國(guó)硅谷的四家公司:Xilinx(賽靈思)、Altera(阿爾特拉)、Lattice(萊迪思)、Microsemi(美高森美),其中,Xilinx與Altera這兩家公司共占有近90%的市場(chǎng)份額,專利達(dá)到6000余項(xiàng)之多,如此之多的技術(shù)專利構(gòu)成的技術(shù)壁壘當(dāng)然高不可攀。而Xilinx始終保持著全球FPGA的霸主地位。
 
FPGA是一個(gè)技術(shù)密集型的行業(yè),沒(méi)有堅(jiān)實(shí)的技術(shù)功底,很難形成有競(jìng)爭(zhēng)力的產(chǎn)品。這也是FPGA市場(chǎng)多年來(lái)被四大巨頭Xilinx(賽靈思)、Altera(阿爾特拉)、Lattice(萊迪思)、Microsemi(美高森美)基本壟斷的原因。
 
國(guó)外FPGA廠商:
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:Xilinx
 
總部:美國(guó)加利福尼亞圣何塞市
 
官網(wǎng):http://www.xilinx.com/
 
1984年Xilinx共同創(chuàng)始人Ross Freeman, Bernie Vonderschmitt和 Jim Barnett 為自己定下了一個(gè)目標(biāo), 那就是“針對(duì)特定應(yīng)用市場(chǎng), 把Xilinx打造成為一個(gè)設(shè)計(jì),制造,營(yíng)銷,及用戶可配置邏輯陣列全面領(lǐng)先的企業(yè)。”從一個(gè)專利起步, Xilinx的創(chuàng)始人點(diǎn)燃了一種創(chuàng)新的精神。這種精神,不僅成就了一個(gè)前所未有的行業(yè),而且也讓Xilinx成為半導(dǎo)體行業(yè)當(dāng)之無(wú)愧的領(lǐng)導(dǎo)企業(yè)。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
Xilinx大事記
 
Xilinx發(fā)明的FPGA顛覆了半導(dǎo)體世界,創(chuàng)立了Fabless(無(wú)晶圓廠)的半導(dǎo)體模式。Xilinx的產(chǎn)品組合融合了 FPGA、SoC 和 3DIC 系列 All Programmable 器件,以及全可編程的開(kāi)發(fā)模型,包括軟件定義的開(kāi)發(fā)環(huán)境等。產(chǎn)品支持 5G 無(wú)線、嵌入式視覺(jué)、工業(yè)物聯(lián)網(wǎng)和云計(jì)算所驅(qū)動(dòng)的各種智能、互連和差異化應(yīng)用。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
Xilinx在5G 無(wú)線、嵌入式視覺(jué)、工業(yè)物聯(lián)網(wǎng)和云計(jì)算等都有所涉及
 
客戶使用Xilinx及其合作伙伴的自動(dòng)化軟件工具和IP核對(duì)器件進(jìn)行編程,從而完成特定的邏輯操作。目前Xilinx滿足了全世界對(duì) FPGA產(chǎn)品一半以上的需求。
 
Xilinx產(chǎn)品線還包括復(fù)雜可編程邏輯器件(CPLD)。在某些控制應(yīng)用方面CPLD通常比FPGA速度快,但其提供的邏輯資源較少。與采用傳統(tǒng)方法如固定邏輯門陣列相比,利用Xilinx可編程器件,客戶可以更快地設(shè)計(jì)和驗(yàn)證他們的電路。而且,由于Xilinx器件是只需要進(jìn)行編程的標(biāo)準(zhǔn)部件,客戶不需要象采用固定邏輯芯片時(shí)那樣等待樣品或者付出巨額成本。
 
Xilinx產(chǎn)品已經(jīng)被廣泛應(yīng)用于從無(wú)線電話基站到DVD播放機(jī)的數(shù)字電子應(yīng)用技術(shù)中。傳統(tǒng)的半導(dǎo)體公司只有幾百個(gè)客戶,而Xilinx在全世界有7,500多家客戶及50,000多個(gè)設(shè)計(jì)開(kāi)端。其客戶包括Alcatel,Cisco Systems,EMC,Ericsson,F(xiàn)ujitsu,Hewlett-Packard,IBM,Lucent Technologies,Motorola,NEC,Nokia,Nortel,Samsung,Siemens,Sony,Oracle以及Toshiba。
 
Xilinx可編程芯片為行業(yè)領(lǐng)導(dǎo)廠商提供了可選的創(chuàng)新平臺(tái),使得他們可以設(shè)計(jì)和生產(chǎn)出數(shù)以萬(wàn)計(jì)改善我們?nèi)粘I钯|(zhì)量的先進(jìn)產(chǎn)品。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:Altera
 
總部:美國(guó)加利福尼亞圣何塞市
 
官網(wǎng):https://www.altera.com.cn/
 
是世界上“可編程芯片系統(tǒng)”(SOPC)解決方案倡導(dǎo)者。結(jié)合帶有軟件工具的可編程邏輯技術(shù)、知識(shí)產(chǎn)權(quán)(IP)和技術(shù)服務(wù),在世界范圍內(nèi)為14,000多個(gè)客戶提供高質(zhì)量的可編程解決方案。新產(chǎn)品系列將可編程邏輯的內(nèi)在優(yōu)勢(shì)——靈活性、產(chǎn)品及時(shí)面市——和更高級(jí)性能以及集成化結(jié)合在一起,專為滿足當(dāng)今大范圍的系統(tǒng)需求而開(kāi)發(fā)設(shè)計(jì)。全面的產(chǎn)品組合不但有器件,而且還包括全集成軟件開(kāi)發(fā)工具、通用嵌入式處理器、經(jīng)過(guò)優(yōu)化的知識(shí)產(chǎn)權(quán)(IP)內(nèi)核、參考設(shè)計(jì)實(shí)例和各種開(kāi)發(fā)套件等。
 
2015年,英特爾宣布以167億美元收購(gòu)FPGA廠商Altera。這是英特爾公司歷史上規(guī)模最大的一筆收購(gòu)。隨著收購(gòu)?fù)瓿?,Altera將成為英特爾旗下可編程解決方案事業(yè)部(Programmable Solutions Group),丹·麥克納馬拉(Dan McNamara)將擔(dān)任這一部門的負(fù)責(zé)人。新成立的可編程解決方案事業(yè)部將攜手英特爾現(xiàn)有數(shù)據(jù)中心事業(yè)部與物聯(lián)網(wǎng)事業(yè)部,一起開(kāi)發(fā)“高度定制化和高度集成化的產(chǎn)品。”新的一體化芯片最初將帶來(lái)30%至50%的性能提升,而最終的性能提升將達(dá)到2到3倍。這樣的計(jì)算性能對(duì)于人臉識(shí)別等計(jì)算任務(wù)很重要。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
Altera公司產(chǎn)品
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:Lattice
 
總部:美國(guó)俄勒岡州
 
官網(wǎng):http://www.latticesemi.com/zh-CN
 
萊迪思提供業(yè)界領(lǐng)先的SERDES產(chǎn)品。 FPGA和PLD是廣泛使用的半導(dǎo)體元件,最終用戶可以將其配置成特定的邏輯電路,從而縮短設(shè)計(jì)周期,降低開(kāi)發(fā)成本。萊迪思的最終用戶主要是通訊、計(jì)算機(jī)、工業(yè)、汽車、醫(yī)藥、軍事及消費(fèi)品市場(chǎng)的原始設(shè)備生產(chǎn)商。
 
萊迪思為當(dāng)今系統(tǒng)設(shè)計(jì)提供全面的解決方案,包括能提供瞬時(shí)上電操作、安全性和節(jié)省空間的單芯片解決方案的一系列無(wú)可匹敵的非易失可編程器件。
 
2015年Lattice以6億美元收購(gòu)了Silicon Image;2016年4月紫光宣布在公開(kāi)市場(chǎng)收購(gòu)Lattice 6.07%股權(quán),致使Lattice的股票股價(jià)大漲18%;2016年11月3日, Lattice被Canyon Bridge 以13億美元收購(gòu),致使Lattice股價(jià)暴漲近20%,但此次收購(gòu)最終被特朗普叫停,目前還在持續(xù)關(guān)注最新進(jìn)展。Canyon Bridge Capital Partners創(chuàng)始人周斌(Benjamin Chow)正面臨起訴。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
Lattice公司產(chǎn)品
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:Microsemi
 
總部:美國(guó)加利福尼亞州爾灣市
 
官網(wǎng):http://www.latticesemi.com/zh-CN
 
2010年10月,美高森美(Microsemi)宣布,與愛(ài)特公司(Actel Corporation)達(dá)成最終協(xié)議,以每股20.88美元的現(xiàn)金邀約收購(gòu)愛(ài)特公司。收購(gòu)Actel后,美高森美一路走高,成為FPGA市場(chǎng)四大巨頭之一。
 
美高森美在業(yè)內(nèi)久負(fù)盛名,因?yàn)樵摴臼敲绹?guó)國(guó)防軍工FPGA器件頂級(jí)供應(yīng)商,每年都會(huì)出現(xiàn)在美國(guó)國(guó)防預(yù)算名單中,在高可靠性應(yīng)用領(lǐng)域有出眾且獨(dú)特的芯片制造工藝技術(shù),美國(guó)航空航天市場(chǎng)的FPGA電子器件幾乎由其一家承包,軍工方面有賽靈思和altera競(jìng)爭(zhēng)。
 
美高森美主要產(chǎn)品包括:SMSC芯片、橋式整流器、三相整流橋、二極管整流模塊、單相整流模塊、三相整流模塊、高壓硅堆、快恢復(fù)整流模塊、晶閘管模塊及其它半導(dǎo)體器件和芯片。產(chǎn)品達(dá)到美國(guó)軍用/航空二極管標(biāo)準(zhǔn),主要出口美國(guó)、廣泛應(yīng)用于移動(dòng)通信、計(jì)算機(jī)及周邊設(shè)備、醫(yī)療器械、汽車、衛(wèi)星、通訊及軍用/航天等領(lǐng)域。
 
另外,美高森美在中國(guó)上海有一家制造工廠。集團(tuán)于2017年3月21日在納斯達(dá)克發(fā)布上市公司公告稱,正式宣布基于集團(tuán)公司戰(zhàn)略發(fā)展方向調(diào)整的原因,開(kāi)始關(guān)閉其在中國(guó)的生產(chǎn)設(shè)施。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:Achronix
 
總部:美國(guó)
 
官網(wǎng):https://www.achronix.com/
 
Achronix半導(dǎo)體公司是一家提供高性能,高密度FPGA方案的美國(guó)高科技公司。公司擁有兩個(gè)主要產(chǎn)品線。其中一個(gè)商業(yè)FPGA線被命名為Speedster,這款產(chǎn)品系列的最高頻率將達(dá)到1.5GHz,要比其他所有FGPA的頻率都快。Speedster和Achronix公司的其他產(chǎn)品線,可適用于高輻射和惡劣溫度等環(huán)境,利用該公司的專利技術(shù)picoPIPE,可使得傳統(tǒng)的FPGA的吞吐量額外增加兩倍。
 
Archronix目前有三個(gè)產(chǎn)品系列:獨(dú)立芯片,嵌入式FPGA內(nèi)核,和客戶的芯片進(jìn)行組合封裝。
 
Achronix公司不久前推出了定制化的custom blocks(定制單元塊)。名為Speedcore Custom Blocks的IP新產(chǎn)品可加速數(shù)據(jù)密集的人工智能(AI)/機(jī)器學(xué)習(xí)、5G移動(dòng)通信、汽車先進(jìn)駕駛員輔助系統(tǒng)(ADAS)、數(shù)據(jù)中心和網(wǎng)絡(luò)應(yīng)用。
 
Achronix將在2017年實(shí)現(xiàn)強(qiáng)勁的銷售收入和業(yè)務(wù)量增長(zhǎng),預(yù)計(jì)2017年第四季度完成后,銷售收入將超過(guò)1億美元。其中,Speedcore是三種產(chǎn)品中增速最快的產(chǎn)品。2016年10月第一次向媒體發(fā)布,目前在公司營(yíng)收中占25%份額,預(yù)計(jì)未來(lái)三年內(nèi)將為公司整體利潤(rùn)貢獻(xiàn)50%。
 
Achronix作為FPGA的后來(lái)者,今年也要跨入1億美元俱樂(lè)部。新產(chǎn)品Speedcore 推出一年已占營(yíng)收1/4,未來(lái)三年將占半壁江山。在夾縫中生長(zhǎng),Achronix的商業(yè)模式就是不走尋常路。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:QuickLogic
 
總部:美國(guó)加利福尼亞州爾灣市
 
官網(wǎng):http://www.latticesemi.com/zh-CN
 
QuickLogic Corporation (NASDAQ: QUIK) 是協(xié)助 OEM廠商延長(zhǎng)電池續(xù)航力,以針對(duì)智能型手機(jī)、穿戴式及物聯(lián)網(wǎng)(IoT) 裝置達(dá)到高差異化、身歷其境的使用者體驗(yàn)的一家公司。QuickLogic透過(guò)領(lǐng)導(dǎo)業(yè)界的超低功耗客戶可編程SoC半導(dǎo)體解決方案、嵌入式軟件、以及針對(duì)always-on語(yǔ)音及傳感器處理之算法方案提供相關(guān)優(yōu)勢(shì)。該公司的嵌入式FPGA 方案同樣讓 SoC 設(shè)計(jì)者可簡(jiǎn)單地進(jìn)行生產(chǎn)后的變更,并透過(guò)將硬件可編程能力提供至其終端產(chǎn)品而提高營(yíng)收。
 
QuickLogic的產(chǎn)品線主要包括:存儲(chǔ),為廠商制作控制器;網(wǎng)絡(luò):包括USB、WiFi、SPI等多種接口控制;圖形及影像處理優(yōu)化;智能及安全幾部分組成。
 
QuickLogic公司主要從事客戶定制化標(biāo)準(zhǔn)產(chǎn)品,英文簡(jiǎn)稱CSSP。以下有幾個(gè)案例:
 
2011年10月,QuickLogic宣布推出一個(gè)新的用于PolarPro ®平臺(tái)系列應(yīng)用的解決方案,該解決方案讓PolarPro ®平臺(tái)把應(yīng)用處理器上的SDIO端口連接到高通公司的調(diào)制解調(diào)器芯片組的EBI2接口上。這種新的應(yīng)用解決方案為移動(dòng)和手持設(shè)備設(shè)計(jì)師提供了一個(gè)低成本、低功耗、易于使用的方案,以取代USB端口這類通用接口。
 
2015年8月,QuickLogic公司推出新的EOS S3處理系統(tǒng)。EOS平臺(tái)中整合了一種革命性的架構(gòu),因而可以實(shí)現(xiàn)行業(yè)中最先進(jìn)、計(jì)算功能最密集的傳感器應(yīng)用系統(tǒng),而功耗比競(jìng)爭(zhēng)對(duì)手的技術(shù)低很多。EOS平臺(tái)的獨(dú)特好處是其中有2800個(gè)有效的在線可重復(fù)編程邏輯單元,當(dāng)客戶為了把產(chǎn)品設(shè)計(jì)得有特色需要增加FFE或者客戶專用硬件時(shí),可以使用這些邏輯單元。
 
2017年9月,中芯國(guó)際與QuickLogic共同宣布,基于中芯國(guó)際40納米低漏電 (40LL) 工藝,QuickLogic推出ArcticPro 嵌入式 FPGA (eFPGA)技術(shù)。QuickLogic的高級(jí)架構(gòu)、成熟軟件和IP 生態(tài)系統(tǒng)與中芯國(guó)際40LL工藝相結(jié)合,為SoC設(shè)計(jì)人員提供了易于實(shí)施、高可靠性和極低功耗的eFPGA 解決方案。ArcticPro eFPGA技術(shù)如今已應(yīng)用于各種領(lǐng)先工藝中,是業(yè)界首個(gè)在中芯國(guó)際40LL技術(shù)節(jié)點(diǎn)上提供的eFPGA IP。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
國(guó)外四大FPGA巨頭概況
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
FPGA霸主賽靈思與阿爾特拉對(duì)比
 
國(guó)內(nèi)FPGA廠商(排名不分先后):
 
與國(guó)際上巨頭的兼并和退出相反,近些年國(guó)內(nèi)陸續(xù)誕生了一些FPGA設(shè)計(jì)公司,且有蒸蒸日上的趨勢(shì),但在市場(chǎng)份額及技術(shù)方面和國(guó)際巨頭差距非常大,還遠(yuǎn)未達(dá)到挑戰(zhàn)領(lǐng)先巨頭的實(shí)力。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:深圳市國(guó)微電子股份有限公司
 
總部:中國(guó)深圳
 
官網(wǎng):http://www.ssmec.com/
 
深圳市國(guó)微電子有限公司成立于1993年,是首家啟動(dòng)的國(guó)家“909”工程的集成電路設(shè)計(jì)公司。主要從事特種行業(yè)集成電路的研發(fā)、測(cè)試和銷售,并提供系統(tǒng)集成解決方案,是國(guó)內(nèi)最大的特種行業(yè)集成電路供應(yīng)商。
 
公司擁有特種微處理器、特種存儲(chǔ)器、特種可編程器件、特種總線、特種接口驅(qū)動(dòng)、特種電源管理和特種定制芯片七大產(chǎn)品方向200余款產(chǎn)品,同時(shí)可以為用戶提供ASIC/SOC設(shè)計(jì)開(kāi)發(fā)服務(wù)及國(guó)產(chǎn)化系統(tǒng)芯片級(jí)解決方案。2012年底完成與上市公司紫光國(guó)芯股份有限公司(股票代碼:002049)重組工作,成為紫光國(guó)芯的全資子公司。
 
公司目前硬件設(shè)計(jì)平臺(tái)包括SUN公司的服務(wù)器及HP服務(wù)器工作站群,同時(shí)并配備有先進(jìn)的EDA軟件、測(cè)試系統(tǒng)。掌握了數(shù)字邏輯(Digital)、模擬混合(Mixed-Signal)芯片的設(shè)計(jì)方法和設(shè)計(jì)流程。在高性能微處理器、高性能可編程器件、存儲(chǔ)類器件、總線器件、接口驅(qū)動(dòng)器件、電源芯片和其它專用芯片等領(lǐng)域具有芯片設(shè)計(jì)能力以及相應(yīng)整機(jī)產(chǎn)品的應(yīng)用方案開(kāi)發(fā)能力。
 
開(kāi)發(fā)出完整的基礎(chǔ)單元庫(kù),積累了豐富的IP核,如32位嵌入式微控制器核、嵌入式FPGA、高速SERDES等。擁有65納米以上CMOS、0.18微米EEPROM/Flash、0.35微米BCD、2.0微米Bipolar工藝制程的IC設(shè)計(jì)成功經(jīng)驗(yàn),可提供數(shù)字、數(shù)?;旌系葘S眯酒O(shè)計(jì)服務(wù)。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:西安智多晶微電子有限公司
 
總部:中國(guó)陜西
 
官網(wǎng):http://www.isilicontech.com/
 
西安智多晶微電子有限公司,Xian Intelligence Silicon Technology, Inc. (XiST) ,自2012年成立以來(lái),智多晶一直專注于可編程邏輯電路器件技術(shù)的研發(fā)生產(chǎn),遵循公司CEO賈紅先生提出的經(jīng)營(yíng)理念——“做國(guó)內(nèi)頂尖的FPGA設(shè)計(jì)公司,成為國(guó)內(nèi)最受人尊敬的企業(yè)”。公司主營(yíng)業(yè)務(wù)為研發(fā)、生產(chǎn)、銷售可編程集成電路、設(shè)備、芯片、可編程系統(tǒng)設(shè)計(jì)平臺(tái)、軟件、并且針對(duì)目標(biāo)市場(chǎng)的需求,開(kāi)發(fā)代碼和IP、提供終端客戶完整解決方案。
 
公司緊緊抓住可編程邏輯電路器件研發(fā)的技術(shù)核心,在LED驅(qū)動(dòng)、高端醫(yī)療、智能儀表、工業(yè)控制等四大應(yīng)用領(lǐng)域研發(fā)創(chuàng)新并推出相關(guān)產(chǎn)品,提供高質(zhì)量,低功耗,低成本,馬上可投入量產(chǎn)的系統(tǒng)集成解決方案。智多晶現(xiàn)有產(chǎn)品Seagull 1000系列、sealion2000系列及在研seal 5000系列,智多晶的產(chǎn)品得到業(yè)界的廣泛好評(píng),并已應(yīng)用到民用市場(chǎng)、安防和國(guó)防建設(shè)的多個(gè)領(lǐng)域,其中sealion2000系列12K產(chǎn)品出貨量已達(dá)到百萬(wàn)片。
 
智多晶核心團(tuán)隊(duì)組合匯集美國(guó)硅谷及中國(guó)等地各方面的人才,具有20年以上的集成電路產(chǎn)品研發(fā)和應(yīng)經(jīng)驗(yàn)。積累了豐富的和系統(tǒng)的可編程電路研發(fā)專有技術(shù)群。團(tuán)隊(duì)曾經(jīng)開(kāi)發(fā)了好幾代的可編程邏輯電路芯片制造工藝,成功地推出世界一流的可編程邏輯電路芯片。為前公司創(chuàng)造了每年10億的產(chǎn)值。是全世界除了四家美國(guó)公司之外,第五個(gè)跨過(guò)技術(shù)門檻的團(tuán)隊(duì)。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
公司名稱:廣東高云半導(dǎo)體科技股份有限公司
 
總部:中國(guó)廣東
 
官網(wǎng):http://www.gowinsemi.com.cn/
 
高云半導(dǎo)體于 2014 年1月成立,公司以國(guó)產(chǎn)現(xiàn)場(chǎng)可編程邏輯器件(FPGA)研發(fā)與產(chǎn)業(yè)化為核心,旨在推出具有核心自主知識(shí)產(chǎn)權(quán)的民族品牌FPGA 芯片,提供集設(shè)計(jì)軟件、IP核、參照設(shè)計(jì)、開(kāi)發(fā)板、定制服務(wù)等一體化完整解決方案的民營(yíng)高科技公司,打造高端集成電路領(lǐng)域的民族品牌,發(fā)展成為中國(guó)集成電路行業(yè)的骨干企業(yè)之一。
 
公司科研實(shí)力雄厚,打造了一支具有極強(qiáng)的自主創(chuàng)新研發(fā)能力的科研團(tuán)隊(duì),建設(shè)有上海、濟(jì)南兩個(gè)產(chǎn)品開(kāi)發(fā)中心和美國(guó)硅谷的前沿技術(shù)研發(fā)中心,研發(fā)團(tuán)隊(duì)規(guī)模近百人,70%以上具有碩士及以上學(xué)歷,核心研發(fā)人員平均從事核心FPGA軟件、硬件技術(shù)開(kāi)發(fā)超過(guò)15年以上,入選省市各類高層次人才計(jì)劃十余人。
 
高云半導(dǎo)體自成立以來(lái),堅(jiān)持正向設(shè)計(jì),歷經(jīng)三年厲兵秣馬,先后推出了晨熙、小蜜蜂兩個(gè)家族、4個(gè)系列FPGA產(chǎn)品,涵蓋了11個(gè)型號(hào)、50多種封裝的芯片,一躍成為國(guó)產(chǎn)FPGA領(lǐng)導(dǎo)者。其中國(guó)產(chǎn)自主可控關(guān)鍵元器件55納米工藝FPGA芯片于2015年獲得廣東省高新技術(shù)產(chǎn)品榮譽(yù)稱號(hào)。
 
10月26日,廣東高云半導(dǎo)體科技股份有限公司發(fā)布了小而專的GW1NS-2 SoC、高精尖的GW3AT高性能FPGA和RISC-V平臺(tái)化產(chǎn)品。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
上海安路信息科技有限公司
 
總部:中國(guó)上海
 
官網(wǎng):http://www.anlogic.com/
 
上海安路信息科技有限公司成立于2011年,總部位于上海。公司專注于為客戶提供高集成度、高性價(jià)比的可編程邏輯器件、可編程系統(tǒng)級(jí)芯片、定制化可編程芯片、及相關(guān)軟件設(shè)計(jì)工具和創(chuàng)新系統(tǒng)解決方案。
 
公司創(chuàng)始人及核心團(tuán)隊(duì)來(lái)自海外高級(jí)技術(shù)管理人才、國(guó)外FPGA公司產(chǎn)品開(kāi)發(fā)骨干以及學(xué)術(shù)界資深FPGA科研人員組成。公司研發(fā)團(tuán)隊(duì)70%具有碩士或博士學(xué)位,多數(shù)畢業(yè)于復(fù)旦、交大、UCLA、UIUC等國(guó)內(nèi)外高校。核心團(tuán)隊(duì)大都在世界著名的FPGA公司和EDA公司中從事過(guò)10年以上高級(jí)技術(shù)研發(fā)和管理工作,參與開(kāi)發(fā)了多款世界領(lǐng)先的FPGA 芯片和最好的EDA 開(kāi)發(fā)系統(tǒng)。
 
安路科技已量產(chǎn)ELF和EAGLE等系列自主知識(shí)產(chǎn)權(quán)可編程邏輯器件產(chǎn)品,相比國(guó)外同類芯片,提供更多資源、更高性能、更優(yōu)性價(jià)比。公司開(kāi)發(fā)的全流程TD軟件系統(tǒng)和硬件芯片完美地結(jié)合在一起,提供了用戶從前端綜合到位流生成和片上調(diào)試的完整開(kāi)發(fā)平臺(tái)。公司在核心架構(gòu)、軟件算法和系統(tǒng)集成方面擁有多項(xiàng)技術(shù)專利。
 
安路科技根植中國(guó),面向世界,積極參與國(guó)際競(jìng)爭(zhēng),努力成為中國(guó)可編程邏輯器件產(chǎn)業(yè)的主導(dǎo)企業(yè)和世界可編程邏輯器件解決方案的主要供應(yīng)商。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
深圳市紫光同創(chuàng)電子有限公司
 
總部:深圳
 
官網(wǎng):http://www.pangomicro.com/
 
深圳市紫光同創(chuàng)電子有限公司(原深圳市同創(chuàng)國(guó)芯電子有限公司)成立于2013年,注冊(cè)資本人民幣15000萬(wàn)元,是上市公司紫光國(guó)芯股份有限公司旗下公司。紫光同創(chuàng)總部設(shè)在深圳,同時(shí)在上海漕河涇新興技術(shù)開(kāi)發(fā)區(qū)和北京海淀區(qū)分別設(shè)有分公司。2016年6月,公司由原深圳市同創(chuàng)國(guó)芯電子有限公司更名為深圳市紫光同創(chuàng)電子有限公司。
 
公司核心團(tuán)隊(duì)均來(lái)自國(guó)外知名FPGA廠商,具有超過(guò)10年的FPGA研發(fā)和產(chǎn)業(yè)化經(jīng)驗(yàn),公司承接多項(xiàng)國(guó)家核高基課題任務(wù),擁有近200項(xiàng)FPGA領(lǐng)域自主產(chǎn)權(quán)專利。公司主要產(chǎn)品為高性能可重構(gòu)系統(tǒng)芯片,產(chǎn)品主要應(yīng)用于各類通信設(shè)備、終端設(shè)備、工業(yè)控制設(shè)備。
 
2017年10月,在IC China 展會(huì)上展示了Titan系列高性能FPGA、Logos系列高性價(jià)比FPGA、PGX系列高集成度SoPC、產(chǎn)品開(kāi)發(fā)板卡等產(chǎn)品,并展示了可編程網(wǎng)絡(luò)處理平臺(tái)的國(guó)產(chǎn)領(lǐng)先解決方案。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
上海遨格芯微電子有限公司(AGM)
 
總部:中國(guó)上海
 
官網(wǎng):http://www.alta-gate.com/
 
AGM在杭州、上海和北京設(shè)有辦公室,自公司2012年創(chuàng)辦以來(lái),始終專注于研發(fā)自主知識(shí)產(chǎn)權(quán)的FPGA核心軟件和硬件技術(shù)。已經(jīng)推出三個(gè)系列的CPLD、FPGA、Programmable SoC產(chǎn)品進(jìn)入量產(chǎn),已得到多家知名廠商認(rèn)證,在多元化的市場(chǎng)量產(chǎn)出貨,是首家得到國(guó)內(nèi)商用市場(chǎng)認(rèn)可的國(guó)產(chǎn)FPGA供應(yīng)商,并通過(guò)三星供應(yīng)商認(rèn)證的產(chǎn)品。
 
AGM在軟件和電路上擁有自主知識(shí)產(chǎn)權(quán),在產(chǎn)品方面目前走的是兼容主流大廠并軟硬件生態(tài)不變的路線,在芯片內(nèi)部接口兼容主流廠商器件,PCB板級(jí)可以直接替換并用AGM編譯軟件導(dǎo)入燒寫。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
京微雅格(北京)科技有限公司
 
總部:中國(guó)北京
 
官網(wǎng):http://www.capital-micro.com/
 
2003年,京微雅格的前身在美國(guó)硅谷創(chuàng)立,2005年公司遷至北京,主要從事FPGA開(kāi)發(fā),經(jīng)過(guò)10年的技術(shù)積累,目前擁有90多項(xiàng)已授權(quán)專利,開(kāi)發(fā)出CME C系列、M系列、R系列、P系列產(chǎn)品,并在國(guó)內(nèi)從事FPGA開(kāi)發(fā)的公司中處于領(lǐng)先地位,最新發(fā)布的CME-C1的容量達(dá)2000萬(wàn)門級(jí)別,采用臺(tái)積電的40nm CMOS工藝流片,已經(jīng)可以在中低端市場(chǎng)局部實(shí)現(xiàn)對(duì)國(guó)外FPGA的替換。
 
日前,集成電路設(shè)計(jì)公司京微雅格經(jīng)營(yíng)上遭遇困境在行業(yè)界引發(fā)不小的震動(dòng)。2014年,這家公司承接了國(guó)家科技重大專項(xiàng)FPGA研發(fā)與產(chǎn)業(yè)化應(yīng)用,致力于在通信、工業(yè)、航天、國(guó)防、消費(fèi)電子等領(lǐng)域廣泛應(yīng)用的FPGA自主研發(fā)。然而有消息稱京微雅格公司負(fù)債3000萬(wàn),并拖欠員工兩個(gè)月的薪資,經(jīng)歷資金困難,股權(quán)重組后,有消息傳出京微雅格已經(jīng)“倒閉”,雖然京微后來(lái)出面澄清公司只是經(jīng)營(yíng)遇到了困難并非真的倒閉,但這一傳言還是給整個(gè)行業(yè)帶來(lái)不小的震動(dòng)。
 
三、FPGA市場(chǎng)現(xiàn)狀
 
當(dāng)今,半導(dǎo)體市場(chǎng)格局已成三足鼎立之勢(shì),F(xiàn)PGA,ASIC和ASSP三分天下。市場(chǎng)統(tǒng)計(jì)數(shù)據(jù)表明,F(xiàn)PGA已經(jīng)逐步侵蝕ASIC和ASSP的傳統(tǒng)市場(chǎng),并處于快速增長(zhǎng)階段。
 
在全球市場(chǎng)中,Xilinx($233.7100)、Altera兩大公司對(duì)FPGA的技術(shù)與市場(chǎng)仍然占據(jù)絕對(duì)壟斷地位。兩家公司占有將近90%市場(chǎng)份額,專利達(dá)6000余項(xiàng)之多,而且這種壟斷仍在加強(qiáng)。同時(shí),美國(guó)政府對(duì)我國(guó)的FPGA產(chǎn)品與技術(shù)出口進(jìn)行苛刻的審核和禁運(yùn),使得國(guó)家在航天、航空乃至國(guó)家安全領(lǐng)域都受到嚴(yán)重制約。因此,研發(fā)具有自主知識(shí)產(chǎn)權(quán)的FPGA技術(shù)與產(chǎn)品對(duì)打破美國(guó)企業(yè)和政府結(jié)合構(gòu)成的壟斷,及國(guó)家利益意義深遠(yuǎn)。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
全球FPGA市場(chǎng)幾乎被xilinx和Altera壟斷
 
FPGA國(guó)內(nèi)現(xiàn)狀
 
目前中國(guó)IC廠商在FPGA這個(gè)細(xì)分領(lǐng)域和國(guó)外巨頭的差距遠(yuǎn)遠(yuǎn)比其他領(lǐng)域要大。
 
FPGA技術(shù)門檻非常高,核心技術(shù)只掌握在及其少數(shù)的公司手上,而且xilinx和atlera手頭握有6000多項(xiàng)專利,對(duì)后進(jìn)者形成很高的技術(shù)壁壘,國(guó)內(nèi)廠商要么和國(guó)外巨頭專利交叉授權(quán),要么花錢買專利,但當(dāng)前我們并沒(méi)有多少專利可以和xilinx和altera進(jìn)行交叉許可,購(gòu)買難度更大,這不僅僅是資金的問(wèn)題。從canyon bridge收購(gòu)lattice被美國(guó)否決來(lái)看,凡涉及到美國(guó)國(guó)家安全的高新技術(shù)公司,我國(guó)是不可能通過(guò)收購(gòu)來(lái)獲得的,lattice在行業(yè)內(nèi)充其量是第二團(tuán)隊(duì)尚且如此,業(yè)界領(lǐng)先的企業(yè)我國(guó)更難獲得。
 
國(guó)內(nèi)FPGA的發(fā)展只能靠自主,雖然這個(gè)過(guò)程可能會(huì)很漫長(zhǎng),但除此之外沒(méi)有更好的選擇。芯片的自主設(shè)計(jì)是實(shí)現(xiàn)信息安全的最底層保障。這也是為什么與信息處理相關(guān)的基礎(chǔ)芯片(手機(jī)芯片、PC處理器等)需要實(shí)現(xiàn)自制的原因。在目前FPGA的技術(shù)和供給幾乎全部來(lái)源于美國(guó),包括歐洲和日本等技術(shù)強(qiáng)國(guó)也沒(méi)有掌握到核心技術(shù)。
 
對(duì)于中國(guó)而言,國(guó)家促進(jìn)集成電路發(fā)展已經(jīng)提升至國(guó)家戰(zhàn)略。同時(shí)特殊的應(yīng)用場(chǎng)景(軍工、導(dǎo)彈、航天航空)的要求的FPGA,國(guó)外對(duì)中國(guó)是禁運(yùn)的,這也從另一方面促成國(guó)內(nèi)FPGA自制的契機(jī)。目前,國(guó)內(nèi)生產(chǎn)的FPGA主要用于軍工、通訊、航空航天等領(lǐng)域。
 
在民用領(lǐng)域,國(guó)內(nèi)是FPGA需求最大的市場(chǎng),現(xiàn)在Xilinx、Altera最大的客戶就在中國(guó),通訊市場(chǎng)華為中興烽火包攬了全國(guó)60%以上的量。中國(guó)FPGA的發(fā)展紅利在于需求市場(chǎng)足夠大,有需求就要有相應(yīng)產(chǎn)品來(lái)支持。這對(duì)于國(guó)內(nèi)廠家就是機(jī)會(huì),目前,同方國(guó)芯片已經(jīng)和華為中興合作,想實(shí)現(xiàn)一部分的國(guó)產(chǎn)替代。
 
最后,從技術(shù)角度來(lái)說(shuō),我們已經(jīng)不像10年前基本不懂核心技術(shù)。國(guó)內(nèi)半導(dǎo)體產(chǎn)業(yè)鏈的不斷成熟完善,以及芯片設(shè)計(jì)能力的不斷加強(qiáng),我們自己可以自主設(shè)計(jì)和流片ARM架構(gòu)的手機(jī)CPU(海思麒麟、大唐聯(lián)芯),并成功實(shí)現(xiàn)商業(yè)化,這在10年前都不敢相信。在我們?cè)谶^(guò)去積累的技術(shù)沉淀和創(chuàng)新能力,已經(jīng)使得我們?cè)贔PGA的特定應(yīng)用領(lǐng)域(軍工、通訊)實(shí)現(xiàn)一定程度上的自我供給。未來(lái)也可能類似于CPU+FPGA用于云數(shù)據(jù)中心節(jié)中,這些應(yīng)用領(lǐng)域都是信息高度敏高的地方,使用自主設(shè)計(jì)的芯片更能實(shí)現(xiàn)安全可控。
 
人才需求
 
中國(guó)每年對(duì)于FPGA設(shè)計(jì)人才的需求缺口巨大,F(xiàn)PGA設(shè)計(jì)人才的薪水也是行業(yè)內(nèi)最高的。目前,美國(guó)已有FPGA人才40多萬(wàn),中國(guó)臺(tái)灣地區(qū)也有7萬(wàn)多,而中國(guó)內(nèi)地僅有1萬(wàn)左右,可見(jiàn)中國(guó)渴望有更多的FPGA人才涌現(xiàn)出來(lái)?!?/div>
 
四、FPGA的未來(lái)
 
作為一種可編程邏輯器件,F(xiàn)PGA在短短二十多年中從電子設(shè)計(jì)的外圍器件逐漸演變?yōu)閿?shù)字系統(tǒng)的核心。伴隨半導(dǎo)體工藝技術(shù)的進(jìn)步,F(xiàn)PGA器件的設(shè)計(jì)技術(shù)取得了飛躍發(fā)展及突破。通過(guò)FPGA器件的發(fā)展歷程來(lái)看,今后仍將朝下以下幾個(gè)方向發(fā)展:
 
· 高密度、高速度、寬頻帶、高保密;
 
· 低電壓、低功耗、低成本、低價(jià)格;
 
· IP軟/硬核復(fù)用、系統(tǒng)集成;
 
· 動(dòng)態(tài)可重構(gòu)以及單片集群;
 
· 緊密結(jié)合應(yīng)用需求,多元化發(fā)展。
 
此外,集成了FPGA 架構(gòu)、硬核CPU 子系統(tǒng)(ARM/MIPS/MCU)及其他硬核IP 的芯片已經(jīng)發(fā)展到了一個(gè)“關(guān)鍵點(diǎn)”,它將在今后數(shù)十年中得到廣泛應(yīng)用,為系統(tǒng)設(shè)計(jì)人員提供更多的選擇。例如,以應(yīng)用為導(dǎo)向,在受專利保護(hù)的FPGA平臺(tái)架構(gòu)上無(wú)縫集成特定功能模塊,以形成具備行業(yè)競(jìng)爭(zhēng)優(yōu)勢(shì)(高性價(jià)比)的獨(dú)特產(chǎn)品。
 
從技術(shù)上來(lái)看,GPU、FPGA 和 ASIC 都各有千秋。從實(shí)際應(yīng)用來(lái)看,GPU 擁有最完善的生態(tài)系統(tǒng)支撐,具有較大的先發(fā)優(yōu)勢(shì)。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
 
人工智能在推理環(huán)節(jié)應(yīng)用剛起步,云端要比前端設(shè)備發(fā)展速度更快。下面我們將重點(diǎn)講述一下云端數(shù)據(jù)中心的應(yīng)用。在數(shù)據(jù)中心,F(xiàn)PGA 使用日益廣泛,而 ASIC 路線風(fēng)險(xiǎn)太高,目前僅有谷歌批量部署了 TPU。
 
FPGA 已在全球七大數(shù)據(jù)中心實(shí)際部署
 
FPGA 最大的優(yōu)點(diǎn)是動(dòng)態(tài)可重配、性能功耗比高,非常適合在云端數(shù)據(jù)中心部署。
 
當(dāng)在數(shù)據(jù)中心部署之后,F(xiàn)PGA 可以根據(jù)業(yè)務(wù)形態(tài)來(lái)配臵不同的邏輯實(shí)現(xiàn)不同的硬件加速功能。以騰訊云為例,當(dāng)前服務(wù)器上的 FPGA 板卡部署的是圖片壓縮邏輯,服務(wù)于 QQ 業(yè)務(wù);而此時(shí)廣告實(shí)時(shí)預(yù)估需要擴(kuò)容獲得更多的 FPGA 計(jì)算資源,通過(guò)簡(jiǎn)單的 FPGA 重配流程,F(xiàn)PGA 板卡即可以變身成“新”硬件來(lái)服務(wù)廣告實(shí)時(shí)預(yù)估,非常適合批量部署。
 
FPGA 的性能功耗比顯著高于 GPU。以普遍使用在服務(wù)器中的 FPGA型號(hào) A10GX660 為例,性能/功耗能達(dá)到 45GFLOPS/W,而對(duì)應(yīng)的 GPU 型號(hào) M4,性能/功耗能達(dá)到29GFLOPS/W。依次測(cè)算 FPGA 性能功耗比要高 50%。
 
近兩年,全球七大超級(jí)云計(jì)算數(shù)據(jù)中心包括 IBM、Facebook、微軟、AWS 以及 BAT都采用了 FPGA 服務(wù)器。在這方面,中國(guó)和美國(guó)處以同一起跑線。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
大超級(jí)數(shù)據(jù)中心都采用了 FPGA
 
行業(yè)發(fā)展趨勢(shì):FPGA 大有可為
 
比使用現(xiàn)狀更重要的是未來(lái)的技術(shù)和產(chǎn)業(yè)發(fā)展趨勢(shì)。從行業(yè)發(fā)展趨勢(shì)來(lái)看,F(xiàn)PGA 潛力被低估了,未來(lái)大有可為。具體如下:
 
算法正在快速迭代中
 
人工智能算法正處于快速迭代中。雖然 ASIC 芯片可以獲得最優(yōu)的性能,即面積利用率高、速度快、功耗低;但是 AISC 開(kāi)發(fā)風(fēng)險(xiǎn)極大,需要有足夠大的市場(chǎng)來(lái)保證成本價(jià)格,而且從研發(fā)到市場(chǎng)的時(shí)間周期很長(zhǎng),不適合例如深度學(xué)習(xí) CNN 等算法正在快速迭代的領(lǐng)域。因此,推出 ASIC 芯片風(fēng)險(xiǎn)非常高,且成本太高,只有谷歌等極少數(shù)公司敢于嘗試。
 
更重要的是,當(dāng)前人工智能算法模型的發(fā)展趨勢(shì)是從訓(xùn)練環(huán)節(jié)向推理環(huán)節(jié)走,這個(gè)過(guò)程非常有利于 FPGA 未來(lái)的發(fā)展。人工智能算法模型從訓(xùn)練環(huán)節(jié)走向推理環(huán)節(jié)并不是簡(jiǎn)單搬運(yùn)過(guò)去。訓(xùn)練出來(lái)的算法模型往往規(guī)模太大,復(fù)雜度太高,無(wú)法直接部署實(shí)際應(yīng)用?,F(xiàn)在,人工智能算法模型研究的重要趨勢(shì)就是將訓(xùn)練后的模型再進(jìn)行壓縮,在基本不損失模型精度的情況下,將模型壓縮到原來(lái)的幾十分之一,再應(yīng)用到推理環(huán)節(jié)。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
模型壓縮是從訓(xùn)練環(huán)節(jié)走向推理環(huán)節(jié)的必要過(guò)程
 
以深鑒科技的研究成果為例,公司發(fā)布的論文《ESE: Efficient Speech Recognition Engine with Sparse LSTM on FPGA》指出,長(zhǎng)短期記憶網(wǎng)絡(luò)(LSTM)被廣泛用于語(yǔ)音識(shí)別領(lǐng)域。為實(shí)現(xiàn)更高的預(yù)測(cè)精度,機(jī)器學(xué)習(xí)研究者們構(gòu)建了越來(lái)越大的模型。然而這樣的模型十分耗費(fèi)計(jì)算和存儲(chǔ)資源。部署此類笨重的模型會(huì)給數(shù)據(jù)中心帶來(lái)很高的功耗,從而帶來(lái)很高的總擁有成本(TCO)。
 
公司提出了一種可以在幾乎沒(méi)有預(yù)測(cè)精度損失的情況下將 LSTM 模型的尺寸壓縮 20 倍(10 倍來(lái)自剪枝和 2 倍來(lái)自量化)的負(fù)載平衡感知剪枝(load-balance-aware pruning)方法。
 
最后,它們?cè)O(shè)計(jì)了一種可以直接在這種壓縮模型上工作的硬件框架—Efficient Speech Recognition Engine (ESE)。該框架使用了運(yùn)行頻率為 200MHz 的 Xilinx XCKU060 FPGA,具有以 282 GOPS 的速度直接運(yùn)行壓縮 LSTM 網(wǎng)絡(luò)的性能,相當(dāng)于在未壓縮 LSTM 網(wǎng)絡(luò)上 2.52TOPS 的速度;此外,該框架執(zhí)行一個(gè)用于語(yǔ)音識(shí)別任務(wù)的全 LSTM 僅需 41W 功耗。在基于 LSTM 的語(yǔ)音基準(zhǔn)測(cè)試中,ESE 的速度為英特爾 Core i7 5930k CPU 的 43 倍,英偉達(dá) Pascal Titan X GPU 的 3 倍。它的能量效率分別為以上兩種處理器的 40 倍和 11.5 倍。
 
這篇論文驗(yàn)證了我們上述觀點(diǎn):
 
人工智能算法正處于快速迭代中。公司提出的新算法,可以在幾乎沒(méi)有預(yù)測(cè)精度損失的情況下將 LSTM 模型的尺寸壓縮 20 倍(10 倍來(lái)自剪枝和 2 倍來(lái)自量化)。在算法能夠帶來(lái)數(shù)量級(jí)的性能提升下,想要將算法固化在 ASIC 中來(lái)獲得效率提升的想法是不切實(shí)際的。
 
采用了搭建在 FPGA 上的硬件框架 ESE,獲得了高一個(gè)數(shù)量級(jí)的能量效率提升。ESE 的速度為英特爾 Core i7 5930k CPU 的 43 倍,英偉達(dá) Pascal Titan X GPU 的 3 倍。它的能量效率分別為以上兩種處理器的 40 倍和 11.5 倍。采用 FPGA 搭建硬件框架充分發(fā)揮了 FPGA 萬(wàn)能芯片的特性,性能遠(yuǎn)超 GPU 等。
 
芯片 NRE 費(fèi)用在指數(shù)級(jí)上升
 
集成電路行業(yè)的特點(diǎn)是贏家通吃,像 CPU 處理器,只有英特爾一家獨(dú)大,門檻極高。而隨著芯片制程工藝的提升,芯片 NRE 費(fèi)用呈現(xiàn)指數(shù)級(jí)上升。這樣導(dǎo)致的結(jié)果是需要收回成本的芯片銷售規(guī)模門檻越來(lái)越高。市場(chǎng)上能夠滿足如此大市場(chǎng)規(guī)模要求的單品是非常少的。
 
10分鐘了解FPGA市場(chǎng)現(xiàn)狀和未來(lái)趨勢(shì)
芯片設(shè)計(jì)成本隨著制程提升呈指數(shù)級(jí)上升
 
而 FPGA 則可以受益于指數(shù)級(jí)成本上升帶來(lái)的規(guī)模效應(yīng)。因?yàn)?FPGA 的 NRE 成本可以攤到上千個(gè)小項(xiàng)目上,從而讓每個(gè)項(xiàng)目只分擔(dān)幾十萬(wàn)美元的 NRE。比如開(kāi)發(fā)一款 14nm 的 FPGA,假設(shè)需要一億美元,其性能可以達(dá)到 45nm ASIC 的水平。然后有 1000 個(gè)有 45nm 工藝要求的項(xiàng)目可以采用該 FPGA 來(lái)解決問(wèn)題,他們支付不了45nm 工藝數(shù)千萬(wàn)美元的 NRE,但是通過(guò)分?jǐn)偟姆绞矫考抑Ц稁资f(wàn)美元可以使用14nm 的 FPGA 產(chǎn)品。
 
因此,隨著芯片 NRE 費(fèi)用指數(shù)級(jí)上升,越來(lái)越多的 ASIC 芯片將由于達(dá)不到規(guī)模經(jīng)濟(jì)而被迫放棄,從而轉(zhuǎn)向直接基于 FPGA 開(kāi)發(fā)設(shè)計(jì)。而 FPGA 可以受益于指數(shù)級(jí)成本上升帶來(lái)的規(guī)模效應(yīng)。
 
來(lái)源:芯師爺
 
 
推薦閱讀:
 
特別推薦
技術(shù)文章更多>>
技術(shù)白皮書下載更多>>
熱門搜索
?

關(guān)閉

?

關(guān)閉