你的位置:首頁 > 測試測量 > 正文

數(shù)字日歷的面世,以軟件方式設(shè)計(jì)硬件的“新坐標(biāo)”

發(fā)布時間:2014-12-11 責(zé)任編輯:echolady

【導(dǎo)讀】本文設(shè)計(jì)的數(shù)字日歷是建立在FPGA的技術(shù)之上,實(shí)現(xiàn)了以軟件方式設(shè)計(jì)硬件的目的。與傳統(tǒng)的數(shù)字日歷相比,其設(shè)計(jì)靈活、成本低廉、計(jì)時精度高、開發(fā)速度快、功能強(qiáng)大,在很多性能上得到了大幅度的提升。

基于FPGA 設(shè)計(jì)數(shù)字日歷可以實(shí)現(xiàn)以軟件方式設(shè)計(jì)硬件的目的,無需購買專用數(shù)字芯片,從而克服了傳統(tǒng)利用多片數(shù)字集成電路設(shè)計(jì)數(shù)字日歷存在焊接麻煩、調(diào)試繁瑣、成本較高等問題。而且,基于FPGA 的數(shù)字日歷與傳統(tǒng)系統(tǒng)相比,在設(shè)計(jì)靈活、開發(fā)速度、降低成本、計(jì)時精度、功能實(shí)現(xiàn)上都得到大幅度提升,能夠更好地滿足人們?nèi)粘I畹男枰?br />
本文介紹如何利用VHDL 硬件描述語言設(shè)計(jì)一個具有年、月、日、星期、時、分、秒計(jì)時顯示功能,時間調(diào)整功能和整點(diǎn)報(bào)時功能的數(shù)字日歷。在Quartus Ⅱ開發(fā)環(huán)境下,采用自頂向下的設(shè)計(jì)方法,建立各個基本模塊,再構(gòu)建成一個完整的基于FPGA 設(shè)計(jì)的數(shù)字日歷的頂層模塊,然后對其進(jìn)行編譯、仿真、引腳鎖定,最終下載到可編程邏輯器件上進(jìn)行結(jié)果驗(yàn)證。

1 數(shù)字日歷整體設(shè)計(jì)方案


基于FPGA 的數(shù)字日歷設(shè)計(jì)分為硬件設(shè)計(jì)和軟件設(shè)計(jì)兩大部分。其原理框圖如圖1所示。整個數(shù)字日歷由六個部分組成:顯示控制部分,時分秒部分,年月日部分,定時與整點(diǎn)報(bào)時部分,星期部分,調(diào)整控制部分。秒、分、時分別由兩個60進(jìn)制的計(jì)數(shù)器和一個24進(jìn)制的計(jì)數(shù)器組成。當(dāng)個計(jì)數(shù)器達(dá)到進(jìn)位的條件時向下一計(jì)數(shù)器進(jìn)位。同樣日、月、年也是由不同的計(jì)數(shù)器組成,當(dāng)達(dá)到所需進(jìn)位的條件時向下一計(jì)數(shù)器進(jìn)位,各計(jì)數(shù)器在進(jìn)位的同時分別把各自的結(jié)果輸出給顯示部分進(jìn)行實(shí)時顯示。

數(shù)字日歷的面世,以軟件方式設(shè)計(jì)硬件的“新坐標(biāo)”
圖1 數(shù)字日歷原理框圖
 
2 數(shù)字日歷的工作原理

首先由外部振蕩器產(chǎn)生穩(wěn)定的高頻脈沖信號,作為數(shù)字日歷的時間基準(zhǔn),然后經(jīng)過分頻器輸出標(biāo)準(zhǔn)秒脈沖,輸入到FPGA的CLOCK端,實(shí)現(xiàn)計(jì)數(shù)。當(dāng)秒計(jì)數(shù)器滿60后向分計(jì)數(shù)器進(jìn)位,分計(jì)數(shù)器滿60后向小時計(jì)數(shù)器進(jìn)位,小時計(jì)數(shù)器按照“24進(jìn)1”規(guī)律計(jì)數(shù)。計(jì)滿后各計(jì)數(shù)器清零,重新計(jì)數(shù)。日部分由于日有28天、29天、30天、31天4種情況,故日由年和月共同判斷其天數(shù),日計(jì)滿后向月進(jìn)位,月滿后向年進(jìn)位。計(jì)數(shù)器的輸出分別經(jīng)譯碼器送數(shù)碼管顯示。計(jì)時出現(xiàn)誤差時,可以用校時電路校時、校分、校秒和校年、校月、校日。

設(shè)計(jì)中用到了6 個按鍵K1,K2,K3,K4,ZHENG,NAO。其中K1用于切換顯示,剛開始時顯示日期,按兩次K1顯示星期+時間,再按兩次K1顯示定時時間,再按兩次重新顯示日期,以此循環(huán)。K2、K3和K4配合進(jìn)行調(diào)節(jié):開始正常顯示不進(jìn)行調(diào)節(jié);當(dāng)按兩下K2 鍵切換到對分鐘進(jìn)行調(diào)節(jié),此時每按兩下K3 鍵分鐘加1;再按兩下K2 鍵切換到對小時進(jìn)行調(diào)節(jié),此時每按兩下K3 鍵小時加1;依照分鐘→小時→星期→天→月→年→定時→正常→分鐘的次序循環(huán)調(diào)節(jié),每按兩下K2 鍵切換到調(diào)節(jié)相應(yīng)顯示,此時每按下K3鍵兩次,對應(yīng)顯示加1;當(dāng)切換到定時調(diào)節(jié)時,每按兩下K3鍵,定時的分鐘加1,此時按兩下K4 鍵,切換到定時小時調(diào)節(jié),每按兩下K3 鍵,定時的小時加1。按鍵ZHENG和NAO為整點(diǎn)報(bào)時和定時鬧鐘的使能端(低電平有效)。

3 軟件設(shè)計(jì)

軟件設(shè)計(jì)分為秒分時、年月日、按鍵調(diào)整、控制顯示、鬧鐘等幾大模塊。設(shè)計(jì)好各子模塊,然后在原理圖編輯窗口創(chuàng)建頂層原理圖文件shuzirili.bdf,將各子模塊按照邏輯關(guān)系連接起來得到數(shù)字日歷電路核心部分的原理圖。下面對各子模塊設(shè)計(jì)及仿真進(jìn)行介紹。

3.1 時分秒模塊

分和秒都是60進(jìn)制的計(jì)數(shù)器,小時則是24進(jìn)制的計(jì)數(shù)器,先對各個子模塊進(jìn)行設(shè)計(jì)編譯,驗(yàn)證無誤后再將其組織到一起。外部時鐘信號通過秒模塊的clk 輸入,產(chǎn)生的進(jìn)位信號輸送到分模塊的clk,分模塊產(chǎn)生的進(jìn)位信號輸送到小時模塊的clk,構(gòu)成時分秒模塊。其結(jié)構(gòu)圖如圖2所示。

數(shù)字日歷的面世,以軟件方式設(shè)計(jì)硬件的“新坐標(biāo)”
圖2 時分秒原理圖
 
[page]
 
3.2 星期模塊

星期模塊為七進(jìn)制計(jì)數(shù)器。其計(jì)數(shù)時鐘信號來自于調(diào)時電路產(chǎn)生的星期進(jìn)位脈沖信號,計(jì)數(shù)至6 瞬間,計(jì)星期模塊返回0 重新開始計(jì)數(shù)。

3.3 年月日模塊

年月日模塊有daycounter 模塊、days-control 模塊、monthcounter模塊、yearcounter模塊組成,具有大小月判斷和閏年判斷功能,其結(jié)構(gòu)圖如圖3所示。

數(shù)字日歷的面世,以軟件方式設(shè)計(jì)硬件的“新坐標(biāo)”
圖3 年月日連接框圖
 
3.4 定時模塊

定時模塊通過按鍵K4來切換調(diào)節(jié)定時的分鐘和小時,調(diào)整功能由調(diào)時模塊的按鍵K3來完成,每按兩下K3對應(yīng)的顯示加1。

3.5 調(diào)時模塊

調(diào)時模塊設(shè)計(jì)思想是:通過把整個設(shè)計(jì)中的上一個模塊(比如:分的上一個模塊是秒;年的上一個模塊是月,等等)的進(jìn)位輸出接到本模塊的輸入in,out接到下一個模塊的時鐘輸入。正常狀態(tài)下sout=fin,fout=hin,hout=din,dout=min,mout=yin ,win=hout;當(dāng)每按下兩次K2鍵,產(chǎn)生一個上升沿分別對fin,hin,win,din,min,yin,dingshi調(diào)節(jié),調(diào)節(jié)信號由K3 給出。其中定義一個信號w,計(jì)數(shù)到7 返0,對應(yīng)正常狀態(tài),fin,hin,win,din,min,yin,dingshi 7個狀態(tài)。

當(dāng)K2 每來一個上升沿時,分別對分,時,星期,天,月,年以及定時調(diào)整,調(diào)整信號由K3 給出,經(jīng)仿真調(diào)時模塊結(jié)果與系統(tǒng)設(shè)計(jì)要求符合。

3.6 控制模塊

控制模塊通過K1按鍵進(jìn)行切換顯示調(diào)整,起初顯示年月日,按下2次K1顯示時間,再按下2次顯示定時時間。

若仿真參數(shù)設(shè)置數(shù)值為:2013 年05 月15 日,星期三,15:28(33 s),定時為07:19。仿真后結(jié)果如圖4所示。

從圖4可以看出,通過K1 按鍵控制顯示,開始顯示年月日:20130515,當(dāng)按下K1 兩下,顯示星期+時間:03152833;再按兩下顯示定時時間:00000719。仿真結(jié)果與預(yù)期設(shè)計(jì)一致,設(shè)計(jì)正確。

數(shù)字日歷的面世,以軟件方式設(shè)計(jì)硬件的“新坐標(biāo)”
圖4 仿真結(jié)果
 
3.7 鬧鐘模塊

鬧鐘模塊設(shè)計(jì)為當(dāng)定時時間到或者整點(diǎn)時鬧鈴響,分別有ZHENG,NAO 兩個控制端子控制,低電平有效。經(jīng)驗(yàn)證,定時和整點(diǎn)報(bào)時仿真結(jié)果均符合設(shè)計(jì)要求。

3.8 頂層模塊

將各個子模塊設(shè)計(jì)好之后,并創(chuàng)建各自文件的圖元,以供原理圖設(shè)計(jì)文件中調(diào)用。然后在原理圖編輯窗口創(chuàng)建頂層原理圖文件shuzirili.bdf,即將各圖元的引線端子按照邏輯關(guān)系連接起來,得到如圖5所示的完整原理圖,也即頂層模塊。

對頂層文件分別進(jìn)行時間、日期、鬧鈴功能的波形仿真,得到的仿真結(jié)果和預(yù)想設(shè)計(jì)一致,表明設(shè)計(jì)正確。

數(shù)字日歷的面世,以軟件方式設(shè)計(jì)硬件的“新坐標(biāo)”
圖5 頂層原理圖
 
[page]
 
4 硬件驗(yàn)證

在Quartus Ⅱ開發(fā)環(huán)境中完成上述軟件設(shè)計(jì)及仿真后,最后還需將程序下載到FPGA器件中進(jìn)行硬件測試,以進(jìn)一步驗(yàn)證所設(shè)計(jì)程序是否正確。硬件驗(yàn)證時,還需對頂層文件進(jìn)行管腳分配、并編譯,然后將編譯好的目標(biāo)文件通過下載電纜下載到FPGA 開發(fā)板中進(jìn)行驗(yàn)證和調(diào)試。

采用GW48-SPOC/EDA 實(shí)驗(yàn)開發(fā)系統(tǒng)并設(shè)置在工作模式0來驗(yàn)證設(shè)計(jì)結(jié)果。下面僅給出部分驗(yàn)證結(jié)果。剛完成下載,數(shù)碼管顯示20000000,按兩下K1切換到時間顯示,才剛剛開始計(jì)數(shù),同時揚(yáng)聲器發(fā)出“滴答滴答”的頻率為1 Hz的響聲(為整點(diǎn)報(bào)時)60 s,然后通過按鍵8(K1)、鍵7(K2)、鍵6(K3)、鍵5(K4)對各個功能進(jìn)行驗(yàn)證。首先調(diào)整時間,按兩下K2鍵切換到分的調(diào)整,此時每按兩下K3 鍵,分鐘加1,驗(yàn)證過程中看到加到59就變?yōu)?0;再按兩下K2鍵切換到小時的調(diào)整,此時每按兩下K3鍵,分鐘加1;用同樣的方法調(diào)整星期,天,月,年以及定時的分鐘和小時。

調(diào)整日期為2013年7月31號,當(dāng)再調(diào)整天時,就變?yōu)?013年7月1號,結(jié)果如圖9所示。其他月份實(shí)驗(yàn)結(jié)果也符合生活規(guī)律,與設(shè)計(jì)要求一致。

其他的測試結(jié)果,數(shù)碼管能夠正確顯示計(jì)時時間,定時驗(yàn)證及時間調(diào)節(jié)、整點(diǎn)報(bào)時均能夠正常工作,實(shí)驗(yàn)結(jié)果符合設(shè)計(jì)要求。

數(shù)字日歷的面世,以軟件方式設(shè)計(jì)硬件的“新坐標(biāo)”
圖6 實(shí)際電路驗(yàn)證
 
總結(jié)

本文基于FPGA 環(huán)境設(shè)計(jì)了一個具有年、月、日、星期、時、分、秒計(jì)時顯示功能,時間調(diào)整功能和整點(diǎn)報(bào)時功能的數(shù)字日歷系統(tǒng),并將之下載到FPGA 芯片EP1C3T144-3 上進(jìn)行結(jié)果驗(yàn)證,實(shí)驗(yàn)驗(yàn)證表明:數(shù)碼管能夠正確顯示計(jì)時時間,能夠通過按鍵調(diào)整時間,能夠設(shè)定時間并調(diào)整,整點(diǎn)報(bào)時能夠正常工作,實(shí)驗(yàn)結(jié)果符合設(shè)計(jì)要求。由于設(shè)計(jì)中利用硬件描述語言和FPGA芯片相結(jié)合進(jìn)行數(shù)字日歷的研究,即利用EDA 技術(shù)在一定程度上實(shí)現(xiàn)了硬件設(shè)計(jì)軟件化,使設(shè)計(jì)過程變得相對簡單,易于修改。

相關(guān)閱讀:

FPGA有門道?一款軟件無線電平臺的設(shè)計(jì)
利用CPLD數(shù)字邏輯和FPGA實(shí)現(xiàn)ADC
基于FPGA的航空電子系統(tǒng)的設(shè)計(jì)

要采購焊接么,點(diǎn)這里了解一下價格!
特別推薦
技術(shù)文章更多>>
技術(shù)白皮書下載更多>>
熱門搜索
?

關(guān)閉

?

關(guān)閉